Linux 如何在VCD文件中指定注释?

Linux 如何在VCD文件中指定注释?,linux,gtkwave,vcd,Linux,Gtkwave,Vcd,在VCD文件中,我想指定某些事件发生在特定时间。为此,我尝试定义一个几乎等于时间0的单位信号,并将该值切换到0,然后在同一时间(在我的事件发生时)返回到1。不幸的是,使用gtkwave查看文件时,没有显示任何内容。我如何实现这种行为 使用event作为变量数据类型,并使用->强制对其执行事件。它将在零时间内以脉冲箭头的形式出现在gtkwave中。没有时间刻度的技巧是必要的。如果你在不提前时间的情况下翻转信号,这是否会写入VCD?我希望信号为0、1或x,但无法看到它来回移动。@dave我自己正在生

VCD
文件中,我想指定某些事件发生在特定时间。为此,我尝试定义一个几乎等于时间
0
的单位信号,并将该值切换到
0
,然后在同一时间(在我的事件发生时)返回到
1
。不幸的是,使用
gtkwave
查看文件时,没有显示任何内容。我如何实现这种行为

使用event作为变量数据类型,并使用->强制对其执行事件。它将在零时间内以脉冲箭头的形式出现在gtkwave中。没有时间刻度的技巧是必要的。

如果你在不提前时间的情况下翻转信号,这是否会写入VCD?我希望信号为0、1或x,但无法看到它来回移动。@dave我自己正在生成一个VCD文件,因此可以同时打开和关闭它。此外,据我所知,VCD文件必须按时间顺序排列,你确认了吗?我仍然不希望它出现在gtkwave中:VCD没有意义。。。。一个信号不能同时有两个值。好的,谢谢你的明确回答。那么,你认为在VCD文件中显示“瞬时”事件有什么意义吗?没有,但你可以使用比其他时间间隔(例如,时钟的一小部分)小的时间间隔。谢谢,这正是我想要的!尽管如此,我无法找到在特定时间引发事件的正确语法(事件定义是正确的),您能给出一个示例吗?另外,是否有VCD文件格式的明确文档?这里有一个示例:模块顶部;事件a;初始开始$dumpfile(“whatever.vcd”)$dumpvars(0,顶部)#10->a#10->a#10->a#10->a#10->a;end endmodule有关VCD语法,您可以查看Verilog规范。