System verilog |-&燃气轮机;systemverilog中的含义

System verilog |-&燃气轮机;systemverilog中的含义,system-verilog,System Verilog,|->在systemverilog中是什么意思 例如: $fell(clkreq_hold) |-> ##1 $past(clkreq) |->和->之间有什么区别?->是一个逻辑蕴涵运算符(参见11.4.7逻辑运算符)a->B相当于布尔表达式!A | | B |->是重叠蕴涵的属性运算符。(参见SystemVerilog IEEE 1800-2017 LRM中的第16.12.7节)sA |->pB在时钟周期中,当序列sA成功时,开始尝试查看属性pB是否成功。当sA和pB都是简单的布尔表

|->
systemverilog中是什么意思

例如:

$fell(clkreq_hold) |-> ##1 $past(clkreq)

|->
->
之间有什么区别?

->
是一个逻辑蕴涵运算符(参见11.4.7逻辑运算符)
a->B
相当于布尔表达式
!A | | B


|->
是重叠蕴涵的属性运算符。(参见SystemVerilog IEEE 1800-2017 LRM中的第16.12.7节)
sA |->pB
在时钟周期中,当序列
sA
成功时,开始尝试查看属性
pB
是否成功。当sA和pB都是简单的布尔表达式时,它们似乎具有相同的功能。除此之外,当sA为false时,其含义被认为是空洞的成功。(从成功传递的计数中排除)

->
是一个逻辑蕴涵运算符(参见11.4.7逻辑运算符)
a->B
相当于布尔表达式
!A | | B

|->
是重叠蕴涵的属性运算符。(参见SystemVerilog IEEE 1800-2017 LRM中的第16.12.7节)
sA |->pB
在时钟周期中,当序列
sA
成功时,开始尝试查看属性
pB
是否成功。当sA和pB都是简单的布尔表达式时,它们似乎具有相同的功能。除此之外,当sA为false时,其含义被认为是空洞的成功。(不包括在成功传球的计数中)