Warning: file_get_contents(/data/phpspider/zhask/data//catemap/6/cplusplus/163.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181

Warning: file_get_contents(/data/phpspider/zhask/data//catemap/6/entity-framework/4.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
C++ c++;-使用Visual Studio 2013编译Anax时出错_C++_Entity Framework_Visual Studio 2013 - Fatal编程技术网

C++ c++;-使用Visual Studio 2013编译Anax时出错

C++ c++;-使用Visual Studio 2013编译Anax时出错,c++,entity-framework,visual-studio-2013,C++,Entity Framework,Visual Studio 2013,我最近激励自己以这种方式编码。所以我下载了anax并尝试编译它。问题就在这里,我只是尝试了一下,没有达到目的。我为Visual Studio生成了该文件,并将msvc12升级到最新版本。但现在我遇到了这个错误,我不知道如何修复它: 错误C2664:'void std::vector>:'emplace_back(anax::Entity::Id::int_type&,unsigned _int64&'):无法将参数1从'anax::Entity::Id::int_type'转换为'anax::E

我最近激励自己以这种方式编码。所以我下载了anax并尝试编译它。问题就在这里,我只是尝试了一下,没有达到目的。我为Visual Studio生成了该文件,并将msvc12升级到最新版本。但现在我遇到了这个错误,我不知道如何修复它: 错误C2664:'void std::vector>:'emplace_back(anax::Entity::Id::int_type&,unsigned _int64&'):无法将参数1从'anax::Entity::Id::int_type'转换为'anax::Entity::Id::int_type&'

下面是引发错误的代码行:

m_freeList.emplace_back(static_cast<Entity::Id::int_type>(id.index), counter);
m_freeList.emplace_back(静态_cast(id.index),计数器);

有人知道如何解决这个问题吗?

我认为很明显,表单错误输出无法将
anax::Entity::Id::int_type
转换为
anax::Entity::Id::int_type&
。问题是我没有编写anax,我不知道如何解决这个问题。请返回(静态投射(Id.index),计数器)