Hyperlink 源代码通过";超级链接“;文件

Hyperlink 源代码通过";超级链接“;文件,hyperlink,documentation,code-documentation,Hyperlink,Documentation,Code Documentation,我越来越坚持记录我所有的代码,并向用户和团队中的其他工程师演示我在哪里找到给定的信息,或者我在哪里找到了特定的代码部分 我希望能够完成的是创建指向代码行或文件的链接(Verilog/SystemVerilog、VHDL、C/C++和RTL源代码),但真正找到或想出用任何语言实现这一点的解决方案对我都是有益的 这将通过Word文档、PDF文件完成,我不介意使用LaTeX等进行设置,但我想找到一种方法。基本上,我想点击一个引用,在这里我指定了行或文件,文件在编辑器中为读者打开 所有文档都将放在所有使

我越来越坚持记录我所有的代码,并向用户和团队中的其他工程师演示我在哪里找到给定的信息,或者我在哪里找到了特定的代码部分

我希望能够完成的是创建指向代码行或文件的链接(Verilog/SystemVerilog、VHDL、C/C++和RTL源代码),但真正找到或想出用任何语言实现这一点的解决方案对我都是有益的

这将通过Word文档、PDF文件完成,我不介意使用LaTeX等进行设置,但我想找到一种方法。基本上,我想点击一个引用,在这里我指定了行或文件,文件在编辑器中为读者打开


所有文档都将放在所有使用该文件的用户都可以看到必要文件的地方,我的首选是能够在Linux中这样做

您可以使用doxygen生成html文档。我使用它从VHDL源代码中生成html文档

如果您想引用外部文档,doxygen确实支持外部链接。我从未尝试过这样做,但文档表明它们是自动提取的

一个例子是(从以下内容中提取和扩展):

运行doxygen后,您将获得一组超链接文档,包括自动层次结构图,其中包含对外部文档的引用

我发现层次结构图非常有用,不必为任何外部绘制的图片而烦恼,因为它似乎是工作的重复

编辑:
我应该补充一点,doxygen是独立于语言的,因此可以在您建议的所有代码类型中使用。不过,您必须稍微修改一下配置文件,以获得最适合您的输出。

我不需要外部链接,所有内容都在内部服务器上。我会看看这对我来说有多好,谢谢!
-------------------------------------------------------
--! @file
--! @brief 2:1 Mux using with-select
-------------------------------------------------------

library ieee;
use ieee.std_logic_1164.all;

--! Mux entity brief description

--! Detailed description of this mux
--! Documentation can be found at http://the_documentation.html
entity mux_using_with is
port (
    ...
);
end entity;