Warning: file_get_contents(/data/phpspider/zhask/data//catemap/1/visual-studio-2008/2.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
Parameters 将程序连接到SystemVerilog中的模块_Parameters_Module_Verilog_System Verilog - Fatal编程技术网

Parameters 将程序连接到SystemVerilog中的模块

Parameters 将程序连接到SystemVerilog中的模块,parameters,module,verilog,system-verilog,Parameters,Module,Verilog,System Verilog,我正在尝试使用接口连接SystemVeilog中的顶级模块和程序块 我成功地通过了电线,但我也无法通过参数 如何将模块中的参数传递给程序? 有可能吗?您将参数传递给程序,传递方式与将参数传递给模块相同。参考IEEE标准1800-2012“24.程序”一节。例如: module tb; test #(.WIDTH(8)) test (); endmodule program test; parameter WIDTH = 5; initial $display("WIDT

我正在尝试使用接口连接SystemVeilog中的顶级模块和程序块

我成功地通过了电线,但我也无法通过参数

如何将模块中的参数传递给程序?
有可能吗?

您将
参数
传递给
程序
,传递方式与将参数传递给
模块
相同。参考IEEE标准1800-2012“24.程序”一节。例如:

module tb;
    test #(.WIDTH(8)) test ();
endmodule

program test;
    parameter WIDTH = 5;
    initial $display("WIDTH = %0d", WIDTH);
endprogram
输出:

WIDTH = 8