System verilog ModelSim是否支持程序块?

System verilog ModelSim是否支持程序块?,system-verilog,modelsim,System Verilog,Modelsim,使用ModelSim 10.1d运行以下简单代码时 program test; initial begin $display("hello world"); end endprogram 我看到加载设计时出现错误。本期可在此转载: 我知道ModelSim不支持SV断言/覆盖,但是程序块呢?显然不支持。如果从示例中添加程序块的实例并尝试运行它,则会出现以下错误: # ** Fatal: (vsim-3904) SystemVerilog Program Blocks are

使用ModelSim 10.1d运行以下简单代码时

program test;

  initial begin
    $display("hello world");
  end

endprogram
我看到加载设计时出现
错误
。本期可在此转载:


我知道ModelSim不支持SV断言/覆盖,但是
程序
块呢?

显然不支持。如果从示例中添加程序块的实例并尝试运行它,则会出现以下错误:

# ** Fatal: (vsim-3904) SystemVerilog Program Blocks are not supported in Modelsim PE.

此处发布的更新示例:

ModelSim不支持Questa中支持的以下SystemVerilog构造:

  • 程序块
  • 断言
  • 封面组
  • 随机化

  • 无论如何,我不建议任何用户使用
    program
    块。请参见

    使用
    模块
    。您还应该阅读我的DVCon论文: