VHDL中多维数组部分的赋值

VHDL中多维数组部分的赋值,vhdl,Vhdl,我想更改数组中的一些元素,但我可以找到方法 这一行:sig3(1)不幸的是,您定义数组的方式排除了您喜欢的赋值方法: 如果您是这样的类型: type type3 is array(1 to 2, 1 to 2) of integer; signal sig3 : type3; sig5(1) <= (11,12); 作业必须完全指定索引: sig3(1,1) <= 11; sig3(1,2) <= 12; 现在可以按如下方式分配: type type3 is array(

我想更改数组中的一些元素,但我可以找到方法


这一行:
sig3(1)不幸的是,您定义数组的方式排除了您喜欢的赋值方法:
如果您是这样的类型:

type type3 is array(1 to 2, 1 to 2) of integer;
signal sig3 : type3;
sig5(1) <= (11,12);
作业必须完全指定索引:

sig3(1,1) <= 11;
sig3(1,2) <= 12;
现在可以按如下方式分配:

type type3 is array(1 to 2, 1 to 2) of integer;
signal sig3 : type3;
sig5(1) <= (11,12);
sig5(1)