测试台中的VHDL mux 8:1错误

测试台中的VHDL mux 8:1错误,vhdl,Vhdl,这是一个8x1mux vhdl程序 主程序工作正常,没有错误, 但在测试中,他们的一些信号i,s,y显示错误 告诉我,s,y已经被声明了 试验台误差 代码上写着: ... entity mux8x1_t is end mux8x1_t; architecture mux8x1_t_a of mux8x1 is component mux8x1 ... 因此,体系结构不适用于刚刚声明的实体,而可能是其意图,mux8x1的另一体系结构,并且由于mux8x1具有名为i、s和y的端口,因此信号

这是一个8x1mux vhdl程序 主程序工作正常,没有错误, 但在测试中,他们的一些信号i,s,y显示错误 告诉我,s,y已经被声明了

试验台误差

代码上写着:

...
entity mux8x1_t is
end mux8x1_t;

architecture mux8x1_t_a of mux8x1 is
  component mux8x1
...
因此,
体系结构
不适用于刚刚声明的
实体
,而可能是其意图,
mux8x1
的另一体系结构,并且由于
mux8x1
具有名为
i
s
y
的端口,因此信号名为
i
s
,体系结构中的
y
使编译生成错误

架构
部分应更改为:

architecture mux8x1_t_a of mux8x1_t is
对于“=”附近的错误
:语法错误
,请更改
=
:=

代码上写着:

...
entity mux8x1_t is
end mux8x1_t;

architecture mux8x1_t_a of mux8x1 is
  component mux8x1
...
因此,
体系结构
不适用于刚刚声明的
实体
,而可能是其意图,
mux8x1
的另一体系结构,并且由于
mux8x1
具有名为
i
s
y
的端口,因此信号名为
i
s
,体系结构中的
y
使编译生成错误

架构
部分应更改为:

architecture mux8x1_t_a of mux8x1_t is
对于“=”附近的错误
:语法错误
,请更改
=
:=

代码上写着:

...
entity mux8x1_t is
end mux8x1_t;

architecture mux8x1_t_a of mux8x1 is
  component mux8x1
...
因此,
体系结构
不适用于刚刚声明的
实体
,而可能是其意图,
mux8x1
的另一体系结构,并且由于
mux8x1
具有名为
i
s
y
的端口,因此信号名为
i
s
,体系结构中的
y
使编译生成错误

架构
部分应更改为:

architecture mux8x1_t_a of mux8x1_t is
对于“=”附近的错误
:语法错误
,请更改
=
:=

代码上写着:

...
entity mux8x1_t is
end mux8x1_t;

architecture mux8x1_t_a of mux8x1 is
  component mux8x1
...
因此,
体系结构
不适用于刚刚声明的
实体
,而可能是其意图,
mux8x1
的另一体系结构,并且由于
mux8x1
具有名为
i
s
y
的端口,因此信号名为
i
s
,体系结构中的
y
使编译生成错误

架构
部分应更改为:

architecture mux8x1_t_a of mux8x1_t is
对于“=”附近的错误
:语法错误
,请更改
=
:=

示例代码应该是一个。图片剥夺了他人对您的问题进行搜索的好处。请注意,在您的(第295页)中,您可以在Transcript或shell提示符处键入verror 1294,第一句告诉您“在同一声明区域内立即出现的两个声明不能是同形词,除非其中恰好有一个是预定义操作的声明。”示例代码应为。图片剥夺了他人对您的问题进行搜索的好处。请注意,在您的(第295页)中,您可以在Transcript或shell提示符处键入verror 1294,第一句告诉您“在同一声明区域内立即出现的两个声明不能是同形词,除非其中恰好有一个是预定义操作的声明。”示例代码应为。图片剥夺了他人对您的问题进行搜索的好处。请注意,在您的(第295页)中,您可以在Transcript或shell提示符处键入verror 1294,第一句告诉您“在同一声明区域内立即出现的两个声明不能是同形词,除非其中恰好有一个是预定义操作的声明。”示例代码应为。图片剥夺了他人对您的问题进行搜索的好处。请注意,在您的(第295页)中,您可以在Transcript或shell提示符处键入verror 1294,第一句告诉您“在同一声明区域内立即出现的两个声明不能是同形词,除非其中恰好有一个是预定义操作的声明。”