Warning: file_get_contents(/data/phpspider/zhask/data//catemap/9/opencv/3.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
错误(10482):VHDL错误:对象;选择“U矢量”;已使用但未声明_Vhdl - Fatal编程技术网

错误(10482):VHDL错误:对象;选择“U矢量”;已使用但未声明

错误(10482):VHDL错误:对象;选择“U矢量”;已使用但未声明,vhdl,Vhdl,我不知道为什么会出现此错误(错误(10482):SWSelectorVHDL处的VHDL错误。vhd(11):使用了对象“select\u vector”,但未声明)。请指出我需要改变什么。。 这是我的密码 library ieee; use ieee.std_logic_1164.all; entity SWSelectorVHDL is generic( NUM : std_logic_vector(2 downto 0) := "000" ); port( select

我不知道为什么会出现此错误(错误(10482):SWSelectorVHDL处的VHDL错误。vhd(11):使用了对象“select\u vector”,但未声明)。请指出我需要改变什么。。 这是我的密码

library ieee;
use ieee.std_logic_1164.all;
entity SWSelectorVHDL is
generic(
NUM : std_logic_vector(2 downto 0) := "000" 
);  
port(       
selector    : in    select_vector(7 downto 0); 
rq      : in    std_logic_vector(7 downto 0); 
own     : out   std_logic_vector(7 downto 0)
);
end entity SWSelectorVHDL;
architecture RTL of SWSelectorVHDL is
begin
gen_req : for i in 0 to 7 generate
requests(i) <= rq(i) when (selector(i) = NUM) else '0';
end generate;
end;
ieee库;
使用ieee.std_logic_1164.all;
实体SWSelectorVHDL为
一般的(
NUM:std_逻辑_向量(2到0):=“000”
);  
港口(
选择器:在select_矢量中(7到0);
rq:标准逻辑向量(7到0);
own:输出标准逻辑向量(7到0)
);
终端实体SWSelectorVHDL;
SWSelectorVHDL的体系结构RTL是
开始
gen_请求:对于0到7中的i生成
请求(i)
  • 我不认为“select_vector”是输入端口“selector”的有效类型。我猜应该是“标准逻辑向量(7到0)”
  • 或者,如果“select_vector”是有效类型,则需要包含声明类型“select_vector”的包
      • 我不认为“select_vector”是输入端口“selector”的有效类型。我猜应该是“标准逻辑向量(7到0)”
      • 或者,如果“select_vector”是有效类型,则需要包含声明类型“select_vector”的包

      您还有一个未声明信号的分配(
      请求
      ),没有分配给
      自己的
      。谢谢。刚刚注意到..您还有一个未声明信号的分配(
      请求
      ),没有分配到
      自己的
      。谢谢。刚刚注意到……非常感谢……是的,你是对的。select_矢量不会像其他std_逻辑_矢量那样以任何颜色显示。我只需要一点关于你所说的包裹包含是什么意思的信息。你是说另一个模块,我需要定义什么是选择向量,还是我需要从互联网上安装一些特殊的VHDL库!!通过包包含,我的意思是,一个包文件,包含您自己的类型、子类型、函数、过程、常量等集合(如果有的话)。非常感谢..是的,您是对的。select_矢量不会像其他std_逻辑_矢量那样以任何颜色显示。我只需要一点关于你所说的包裹包含是什么意思的信息。你是说另一个模块,我需要定义什么是选择向量,还是我需要从互联网上安装一些特殊的VHDL库!!我所说的包包含是指包含您自己的类型、子类型、函数、过程、常量等集合(如果有)的包文件。