在DE1上使用VHDL实现VGA文本显示

在DE1上使用VHDL实现VGA文本显示,vhdl,fpga,vga,Vhdl,Fpga,Vga,我正在Altera DE1上使用VHDL制作算法动画。在这个项目中,我必须显示文本以使其更具信息性。我是新手。但是,我了解了文本显示的工作原理(所有关于为每个字符分配内存然后显示它的内容)。我试着搜索一些程序来测试和查看文本显示是如何工作的。但他们中的大多数人都在不同的董事会上。我不想了解实际的流量是怎样的。正如中所述,仅仅理解内存及其工作原理并不能帮助我编写完整的代码。有没有人能给我指出正确的方向,或者深入解释它是如何工作的 提前谢谢 如果尚未签出,请确保浏览。它看起来有几个VGA核心,一些仅

我正在Altera DE1上使用VHDL制作算法动画。在这个项目中,我必须显示文本以使其更具信息性。我是新手。但是,我了解了文本显示的工作原理(所有关于为每个字符分配内存然后显示它的内容)。我试着搜索一些程序来测试和查看文本显示是如何工作的。但他们中的大多数人都在不同的董事会上。我不想了解实际的流量是怎样的。正如中所述,仅仅理解内存及其工作原理并不能帮助我编写完整的代码。有没有人能给我指出正确的方向,或者深入解释它是如何工作的


提前谢谢

如果尚未签出,请确保浏览。它看起来有几个VGA核心,一些仅具有文本,一些同时具有文本和自定义图形。您可能需要注册才能查看/下载,但它是免费且简单的


还有许多项目是独立于制造商的,因此很容易集成到代码中。

如果您还没有签出,请确保浏览。它看起来有几个VGA核心,一些仅具有文本,一些同时具有文本和自定义图形。您可能需要注册才能查看/下载,但它是免费且简单的


还有许多项目是独立于制造商的,因此很容易集成到您的代码中。

您在这里说的是真的,但VGA控制器由几个部分组成,其中一个部分为VGA信号创建正确的定时,控制器从中获取数据并在屏幕上显示,一个字符映射(字体)和一个控制器,用于读取每个字符的映射并将它们放置在屏幕上的正确位置。

这里有一个更高层次的方框图,前面的方框将替换方框标记为“算法测试模式生成器”

您可以查看DigiLine网站上的示例:


下载并修改它,使其符合您的需要。这只是为了让你对你想做的事情所需的模块有一个概念。如果您只想显示相同的字符,它可以变得更简单;如果您想为您的设计创建基于字符的图形控制器,它可以变得更复杂。

您在这里所说的是正确的,但VGA控制器由几个部分组成,其中一个部分为VGA信号创建正确的定时,控制器从中获取数据并在屏幕上显示的内存、字符映射(字体)和控制器,用于读取每个字符的映射并将其放置在屏幕上的正确位置。

这里有一个更高层次的方框图,前面的方框将替换方框标记为“算法测试模式生成器”

您可以查看DigiLine网站上的示例:

下载并修改它,使其符合您的需要。这只是为了让你对你想做的事情所需的模块有一个概念。如果只想显示相同的字符,则可以使其更简单;如果要为设计创建基于字符的图形控制器,则可以使其更复杂