VIM:在VHDL中对齐列

VIM:在VHDL中对齐列,vim,alignment,Vim,Alignment,我有第一个(“"表示空格): 我希望: stream : in std_logic_vector(7 downto 0); valid : in std_logic; ready : out std_logic; opcode : out std_logic_vector(7 downto 0); count : out

我有第一个(“"表示空格):

我希望:

    stream              : in std_logic_vector(7 downto 0);
    valid               : in std_logic;
    ready               : out std_logic;
    opcode              : out std_logic_vector(7 downto 0);
    count               : out std_logic_vector(5 downto 0);
    last                : out std_logic;

第一个单词和“:”之间的空格是制表符和空格的混合体。我想使用制表符。

对齐冒号很简单:

:'<,'>!column -t -s: -o:

-s .................... separator
-o .................... output separator
:'
:'<,'>!column -t -s: -o:

-s .................... separator
-o .................... output separator
:%norm! I<Space><Space>