Code coverage 切换未收集的覆盖率

Code coverage 切换未收集的覆盖率,code-coverage,system-verilog,cadence,Code Coverage,System Verilog,Cadence,我使用一个覆盖率配置文件来明确地收集代码覆盖率,方法是提到“select_Coverage-block-expression-toggle-moduledut…” 不知何故,我无法获得dut切换覆盖范围的任何数字。 我甚至尝试使用实例名而不是模块,但它没有改变任何事情 以下是我拥有的几个其他设置: ->选择_coverage-block-expr-toggle-fsm-module dut ->设置fsm评分-保持转换 ->取消选择\u覆盖范围-删除\u空\u实例 ->取消选择_覆盖率-表达式-

我使用一个覆盖率配置文件来明确地收集代码覆盖率,方法是提到“select_Coverage-block-expression-toggle-moduledut…”

不知何故,我无法获得dut切换覆盖范围的任何数字。 我甚至尝试使用实例名而不是模块,但它没有改变任何事情

以下是我拥有的几个其他设置:

->选择_coverage-block-expr-toggle-fsm-module dut

->设置fsm评分-保持转换

->取消选择\u覆盖范围-删除\u空\u实例

->取消选择_覆盖率-表达式-模块A

->设置\u隐式\u块\u评分-关闭

->设置\u expr\u可覆盖的\u运算符-事件\u或

->set_expr_coverable_语句-所有

->设置\u libcell\u评分

->设置\分配\评分

->设置\u语句\u评分

->设置参数化模块覆盖率

->集合表达式评分-结构

->设置\u切换\u评分-sv\u枚举

->选择功能

->设置覆盖组-每个实例默认值

->设置覆盖组-优化覆盖模型


有人知道什么可能会阻止覆盖率的收集吗

通过检查imc中的覆盖率数据库进行了大量调试之后,我发现在一个无意中的错误中,合并脚本没有拾取切换覆盖率数据。 保险费正在收取中