Warning: file_get_contents(/data/phpspider/zhask/data//catemap/6/cplusplus/138.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
C++ vscode Intellisense不工作_C++_Linux_Visual Studio Code - Fatal编程技术网

C++ vscode Intellisense不工作

C++ vscode Intellisense不工作,c++,linux,visual-studio-code,C++,Linux,Visual Studio Code,我刚刚下载了linux ubuntu 14.04的visual studio代码。我创建了一个简单的test.cpp并用vscode编写,intellisense无法工作 下面是test.cpp中的代码: struct test{ int a = 5; } int main(){ test t; t. } 当我写“t”时,没有智能感知告诉我t的成员。应该有 我使用命令“touch test.cpp”在linux终端中创建了test.cpp文件,然后使用visual s

我刚刚下载了linux ubuntu 14.04的visual studio代码。我创建了一个简单的test.cpp并用vscode编写,intellisense无法工作

下面是test.cpp中的代码:

struct test{
    int a = 5;
}

int main(){
    test t;
    t.
}
当我写“t”时,没有智能感知告诉我t的成员。应该有

我使用命令“touch test.cpp”在linux终端中创建了test.cpp文件,然后使用visual studio代码打开test.cpp并编写代码。可以在此处看到屏幕截图:


在Linux中,谁知道如何在VSCODE中获得智能化工作?

> P,在写的时候,C++没有智能感知。

< P>没有内部智能感知,但是有一个C/C++插件。 最近它对我不起作用了。 我卸载并重新安装,
“转到”定义现在起作用。

正如其他人所建议的,您现在可以添加

扩展可能会遇到以下两个问题:

扩展未检测到自定义库:

您必须添加自定义lib的include路径。请执行以下操作:

Ctr+Shift+p

C/C++扩展:配置

包括路径(例如,每行添加一条路径)

分机突然停止,不再给您任何建议:


如果不打开项目根目录,而是打开其子目录,则可能会发生这种情况。打开项目根文件夹并重新加载窗口。

以下内容主要适用于使用ROS1和for vscode的情况:

在文件
.vscode/c_cpp_properties.json
(由生成)中,尝试从
“cppStandard”:“gnu++14”
更改为
“cppStandard”:“c++14”

该文件的外观如下所示:

{
“配置”:[
{
“浏览”:{
“数据库文件名”:“,
“limitSymbolsToIncludedHeaders”:正确
},
“包含路径”:[
“/home/user/catkin_ws/devel/include/**”,
“/opt/ros/melodic/include/**”,
...,
“/usr/include/**”
],
“名称”:“ROS”,
“intelliSenseMode”:“gcc-x64”,
“编译器路径”:“/usr/bin/gcc”,
“cStandard”:“gnu11”,
“cppStandard”:“c++14”
}
],
“版本”:4
}
ROS正在使用,因此指定
gnu++14
似乎会破坏一切。这是从中推断出来的


存在。使用扩展来支持.< /p>。当你有一个新的未保存文件时,扩展也不起作用,即使你把语言模式标记为C++。我使用WSL,我的智能感知不起作用。因此,多亏了您的键盘快捷键,我转到了

C/C++扩展名:配置
,我将默认编译器改为使用WSL编译器,并在avanced参数中添加/usr/include路径,它就可以工作了!什么定义了我的项目根目录?可以配置吗?
${workspaceFolder}/**
/home/me/Documents/my_custom_lib/