Memory 将txt文件加载到verilog测试台

Memory 将txt文件加载到verilog测试台,memory,loading,verilog,Memory,Loading,Verilog,为了运行模拟,我想从.txt文件中加载一些数据作为输入到testbench中,但我希望加载的数据是实数 例如: 0.00537667139546100 0.0182905843325460 -0.0218392122072903 0.00794853052089004 我发现$readmemh或$readmemb表示十六进制或二进制。是否有任何方法可以帮助我加载数据,而无需在加载到测试台之前将其转换为二进制或十六进制?$readmemh和$readmemb用于将数据加载到内存中。正如您所

为了运行模拟,我想从.txt文件中加载一些数据作为输入到testbench中,但我希望加载的数据是实数

例如:

 0.00537667139546100
 0.0182905843325460
-0.0218392122072903
 0.00794853052089004

我发现
$readmemh
$readmemb
表示十六进制或二进制。是否有任何方法可以帮助我加载数据,而无需在加载到测试台之前将其转换为二进制或十六进制?

$readmemh
$readmemb
用于将数据加载到内存中。正如您所提到的,这些函数需要十六进制或二进制数据。如果您只想使用从文件读取的某些数据,可以使用
$fscanf
函数和
%f
格式集,即:

$fscanf(file,"%f ",real_num);

对不起,你能说得更具体些吗?我在实现上述代码时遇到了错误。顺便问一下,这个文件怎么命名?我需要将文件加载到库中吗?什么类型的错误?首先,您需要使用
$fopen()
函数打开一个文件。这样你就得到了一个指向文件的指针。我在中有几乎相同的案例。你能帮助我吗?