System verilog 如何在systemverilog中调用另一个对象中的任务?

System verilog 如何在systemverilog中调用另一个对象中的任务?,system-verilog,System Verilog,对象C下有一个任务T,对象B和C在对象a下处于同一级别。当超时时,对象B如何调用对象C下的任务T? 提前感谢。 无法在其作用域之外访问普通方法 但是,如果您在中定义了静态方法,比如类C。要在类B中访问此方法,请使用范围解析“:“具有类名的运算符” 例如,访问从C类到B类的静态“显示”方法 class B; function void display(); C::display(); endfunction endclass 您需要用一些示例代码来说明B和C的类型。您还需要

对象C下有一个任务T,对象B和C在对象a下处于同一级别。当超时时,对象B如何调用对象C下的任务T? 提前感谢。

  • 无法在其作用域之外访问普通方法
  • 但是,如果您在中定义了静态方法,比如类C。要在类B中访问此方法,请使用范围解析“:“具有类名的运算符”
例如,访问从C类到B类的静态“显示”方法

class B;

  function void display();
    C::display();
  endfunction

endclass

您需要用一些示例代码来说明B和C的类型。您还需要定义超时的含义。