System verilog 系统verilog-uvm-按顺序等待pkt

System verilog 系统verilog-uvm-按顺序等待pkt,system-verilog,uvm,System Verilog,Uvm,我在uvm的verilog系统中运行。 我想按我的顺序发送一个请求,并等待pkt的响应。我怎么做 感谢您的帮助。这就是所谓的从序列。首先从序列发送一个虚拟请求,然后(通常从驱动程序)向序列发送一个响应,该响应实质上交换了请求/响应的含义。可以找到一个例子。这就是所谓的从序列。首先从序列发送一个虚拟请求,然后(通常从驱动程序)向序列发送一个响应,该响应实质上交换了请求/响应的含义。可以找到一个例子。这就是所谓的从序列。首先从序列发送一个虚拟请求,然后(通常从驱动程序)向序列发送一个响应,该响应实质

我在uvm的verilog系统中运行。 我想按我的顺序发送一个请求,并等待pkt的响应。我怎么做


感谢您的帮助。

这就是所谓的从序列。首先从序列发送一个虚拟请求,然后(通常从驱动程序)向序列发送一个响应,该响应实质上交换了请求/响应的含义。可以找到一个例子。

这就是所谓的从序列。首先从序列发送一个虚拟请求,然后(通常从驱动程序)向序列发送一个响应,该响应实质上交换了请求/响应的含义。可以找到一个例子。

这就是所谓的从序列。首先从序列发送一个虚拟请求,然后(通常从驱动程序)向序列发送一个响应,该响应实质上交换了请求/响应的含义。可以找到一个例子。

这就是所谓的从序列。首先从序列发送一个虚拟请求,然后(通常从驱动程序)向序列发送一个响应,该响应实质上交换了请求/响应的含义。可以找到一个示例。

当您创建并随机化事务时,序列会向sequencer发送请求。然后,sequencer将事务发送给驱动程序,驱动程序使用方法put_response(rsp)将响应发送回。在您的序列中,您可以使用get_response(rsp)方法,这是一个阻塞调用,并等待驱动程序发回响应。这一点解释得很清楚

当您创建并随机化事务时,序列会向sequencer发送请求。然后,sequencer将事务发送给驱动程序,驱动程序使用方法put_response(rsp)将响应发送回。在您的序列中,您可以使用get_response(rsp)方法,这是一个阻塞调用,并等待驱动程序发回响应。这一点解释得很清楚

当您创建并随机化事务时,序列会向sequencer发送请求。然后,sequencer将事务发送给驱动程序,驱动程序使用方法put_response(rsp)将响应发送回。在您的序列中,您可以使用get_response(rsp)方法,这是一个阻塞调用,并等待驱动程序发回响应。这一点解释得很清楚

当您创建并随机化事务时,序列会向sequencer发送请求。然后,sequencer将事务发送给驱动程序,驱动程序使用方法put_response(rsp)将响应发送回。在您的序列中,您可以使用get_response(rsp)方法,这是一个阻塞调用,并等待驱动程序发回响应。这是很好的解释