Verilog RGB-YUV转换方程

Verilog RGB-YUV转换方程,verilog,Verilog,我试图理解RGB到YUV的转换方程。 我从中得到了一些实现 但我不明白如何制作,尤其是关于(4)中的R R您缺少一个部件,(65.7388*R)/256变为(R R<<6 + R<<1 ?

我试图理解RGB到YUV的转换方程。 我从中得到了一些实现

但我不明白如何制作,尤其是关于(4)中的R


R您缺少一个部件,
(65.7388*R)/256
变为
(R
R<<6 + R<<1 ?