Vhdl ALU是如何工作的?

Vhdl ALU是如何工作的?,vhdl,fpga,alu,Vhdl,Fpga,Alu,仅使用无符号数字在VHDL中实现8位ALU。当总和的结果为(1)00000000,1为进位时,ALU的零标志是否应设置为1?或者认为结果不同于0?(从常规CPU的角度回答…-即) 我希望if(result)在这样的操作之后选择false分支。所以感觉零标志应该和进位标志一起设置。(从常规CPU的角度回答…-即) 我希望if(result)在这样的操作之后选择false分支。所以感觉零标志应该和进位标志一起设置。我相信大多数CPU都会在这种情况下设置零标志。从这个意义上讲,零标志独立于进位标志。程

仅使用无符号数字在VHDL中实现8位ALU。当总和的结果为(1)00000000,1为进位时,ALU的零标志是否应设置为1?或者认为结果不同于0?

(从常规CPU的角度回答…-即)

我希望
if(result)
在这样的操作之后选择
false
分支。所以感觉零标志应该和进位标志一起设置。

(从常规CPU的角度回答…-即)


我希望
if(result)
在这样的操作之后选择
false
分支。所以感觉零标志应该和进位标志一起设置。

我相信大多数CPU都会在这种情况下设置零标志。从这个意义上讲,零标志独立于进位标志。程序员在处理整数运算时必须自己检查溢出,并进行适当的处理。

我相信大多数CPU都会在这种情况下设置零标志。从这个意义上讲,零标志独立于进位标志。程序员在处理整数运算时必须自己检查溢出并进行适当处理。

我发现以下飞思卡尔68S12微控制器参考手册在设计ALU时很有用,因为它记录了确定每条指令的每个CCR标志的逻辑操作。我发现以下飞思卡尔68S12微控制器参考手册在设计ALU时很有用,因为它记录了确定每条指令的每个CCR标志的逻辑操作。