VHDL脉冲发生器上的一个按钮按下

VHDL脉冲发生器上的一个按钮按下,vhdl,Vhdl,我想在按下FPGA板上的一个按钮后生成一个高达0.5秒的时钟。在所有其他时间,我希望时钟为0。 如果我再次按下按钮,我会再次得到一个0.5秒的高时间,然后时钟会再次变为0并保持0,直到我再次按下按钮 我想用VHDL代码实现它 我有一个频率为10^8 Hz的时钟作为输入。首先绘制一个硬件框图,以实现您所需的功能。细化每一部分,直到你有一些可以量化的硬件(触发器,多路复用器,减量器,门,零检测…) 如果您一直在做方框图,请考虑如何连接我在上面识别的硬件元素来创建函数 一旦你有了你的框图,如果你仍然被

我想在按下FPGA板上的一个按钮后生成一个高达0.5秒的时钟。在所有其他时间,我希望时钟为0。 如果我再次按下按钮,我会再次得到一个0.5秒的高时间,然后时钟会再次变为0并保持0,直到我再次按下按钮

我想用VHDL代码实现它


我有一个频率为10^8 Hz的时钟作为输入。

首先绘制一个硬件框图,以实现您所需的功能。细化每一部分,直到你有一些可以量化的硬件(触发器,多路复用器,减量器,门,零检测…)

如果您一直在做方框图,请考虑如何连接我在上面识别的硬件元素来创建函数


一旦你有了你的框图,如果你仍然被卡住了,那么在一个特定的硬件元素上进行搜索,你应该能够找到它的模板

我想要一百万美元。你试过什么?@Mohammad Tanvir:欢迎来到Stack Overflow;您可能需要花一些时间浏览并了解此网站的工作原理。请注意,这个问题可能会被否决或结束,因为它并不表明你对这个问题有基本的理解。你实际上并不是在问问题。