Vhdl 如何使用右换档杆实现左换档杆?

Vhdl 如何使用右换档杆实现左换档杆?,vhdl,bit-shift,logical-operators,bluespec,Vhdl,Bit Shift,Logical Operators,Bluespec,我使用5级多路复用器(Shift1/2/4/8/16)实现了一个右移位器(32位)。我的问题是如何扩展我的实现,以使用最少的额外硬件实现左移位器?可以通过反转参数向量、向右移位,然后反转结果,使用向右移位来实现左移位,因此: shift_left(arg, n) = reverse(shift_right(reverse(arg), n)) 可以在中找到std\u logic\u vector的反转函数。这似乎是一个硬件问题。@IsThatSo VHDL是一种硬件描述语言。你可以推断其中有硬件

我使用5级多路复用器(Shift1/2/4/8/16)实现了一个右移位器(32位)。我的问题是如何扩展我的实现,以使用最少的额外硬件实现左移位器?

可以通过反转参数向量、向右移位,然后反转结果,使用向右移位来实现左移位,因此:

shift_left(arg, n) = reverse(shift_right(reverse(arg), n))

可以在中找到
std\u logic\u vector
的反转函数。

这似乎是一个硬件问题。@IsThatSo VHDL是一种硬件描述语言。你可以推断其中有硬件。注意,莫顿的回答涉及两个功能。