C++ 从Verilog发出HTTP请求

C++ 从Verilog发出HTTP请求,c++,python,verilog,system-verilog,hdl,C++,Python,Verilog,System Verilog,Hdl,是否可以调用在Verilog模块内发出HTTP请求的C/C++/Python/Java函数?是的,搜索“DPI”或“PLI”。如果您有一个支持SystemVerilog的模拟器,那么DPI解决方案的开销要少得多。基本上,它的Verilog端将是: import "DPI" function void do_http(...) 然后可以像普通任务或函数一样在Verilog中调用do_http,并在命令行上传递实现do_http的.c文件以及其他源代码。当然,这是假设您使用的是商用Verilog模

是否可以调用在Verilog模块内发出HTTP请求的C/C++/Python/Java函数?

是的,搜索“DPI”或“PLI”。如果您有一个支持SystemVerilog的模拟器,那么DPI解决方案的开销要少得多。基本上,它的Verilog端将是:

import "DPI" function void do_http(...)
然后可以像普通任务或函数一样在Verilog中调用do_http,并在命令行上传递实现do_http的.c文件以及其他源代码。当然,这是假设您使用的是商用Verilog模拟器。我认为伊卡洛斯还不支持新闻部(可能是错的)


使用VPI是一种更具可移植性的方法,但需要大量的编码才能组合起来。如果你需要的话,我鼓励你自己去研究它。

你是想在实际的硬件中还是仅仅在模拟中这样做?