Process 为什么它会说“什么?”;错误:HDLCompiler:806-“0”;C:\Users\dell\Desktop\EE240\lab5\deniyoruz\eponentmunber.vhd“;第26行:“”附近的语法错误;完";

Process 为什么它会说“什么?”;错误:HDLCompiler:806-“0”;C:\Users\dell\Desktop\EE240\lab5\deniyoruz\eponentmunber.vhd“;第26行:“”附近的语法错误;完";,process,syntax-error,vhdl,Process,Syntax Error,Vhdl,图书馆IEEE; 使用IEEE.STD_LOGIC_1164.ALL 实体指数编号为 港口( 指数:标准逻辑向量(2到0); 二进制:输出标准逻辑向量(7到0) 终点指数 exponentnumber的体系结构数据流为 开始 使用指数选择 二进制:在最后一个选择之后,缺少一个分号来分隔并发选定的信号分配,这是一个印刷错误。您的选择不包括指数的所有值,指数可以转换为位向量以限制为二进制值。在-2008之前的VHDL版本中,使用ieee.std_logic_1164.to_位向量的转换不会是局部静态

图书馆IEEE; 使用IEEE.STD_LOGIC_1164.ALL

实体指数编号为 港口( 指数:标准逻辑向量(2到0); 二进制:输出标准逻辑向量(7到0)

终点指数

exponentnumber的体系结构数据流为

开始 使用指数选择
二进制:在最后一个选择之后,缺少一个分号来分隔并发选定的信号分配,这是一个印刷错误。您的选择不包括指数的所有值,指数可以转换为位向量以限制为二进制值。在-2008之前的VHDL版本中,使用ieee.std_logic_1164.to_位向量的转换不会是局部静态的,需要中间信号。还有其他可能的解决方案。谢谢你,我纠正了我的错误,现在它正在工作