Scripting Modelsim导出波形(位图)批处理模式

Scripting Modelsim导出波形(位图)批处理模式,scripting,tcl,batch-processing,modelsim,questasim,Scripting,Tcl,Batch Processing,Modelsim,Questasim,目前,我在批处理模式下运行mentorgraphicsmodelsim进行一些夜间模拟。 我的模拟运行得非常出色,在我的成绩单文件中,我可以看到所有错误/警告等。但是,如果能在图像中看到每次模拟的波形,而不必再次模拟项目,那就太好了(这需要很长时间…)。 Modelsim/Questasim能够在GUI模式下导出此位图(选择wave窗口并进入菜单栏:“文件->导出->图像”) 是否有机会使用Tcl/Modelsim命令以批处理模式或GUI模式将波形保存为图像? 我阅读了有关Modelsim的命令

目前,我在批处理模式下运行mentorgraphicsmodelsim进行一些夜间模拟。 我的模拟运行得非常出色,在我的成绩单文件中,我可以看到所有错误/警告等。但是,如果能在图像中看到每次模拟的波形,而不必再次模拟项目,那就太好了(这需要很长时间…)。 Modelsim/Questasim能够在GUI模式下导出此位图(选择wave窗口并进入菜单栏:“文件->导出->图像”)

是否有机会使用Tcl/Modelsim命令以批处理模式或GUI模式将波形保存为图像? 我阅读了有关Modelsim的命令(Modelsim SE用户手册),但没有找到任何内容

我使用的是Modelsim PE 10.4c@Win7 64位

我非常感谢任何帮助/提示!:)


Michael

除非您的模拟足够短,否则保存完全缩放的位图不会有多大帮助

我建议您使用
vsim-wlf waveform.wlf…
保存波形。稍后,您可以通过执行
vsim-view waveform.wlf
来查看

如果在运行模拟时使用
wave.do
文件添加信号,则在查看时也必须调用该文件,即:

vsim -wlf waveform.wlf -do wave.do
然后

vsim -view waveform.wlf -do wave.do

除非您的模拟足够短,否则保存完全缩放的位图不会有多大帮助

我建议您使用
vsim-wlf waveform.wlf…
保存波形。稍后,您可以通过执行
vsim-view waveform.wlf
来查看

如果在运行模拟时使用
wave.do
文件添加信号,则在查看时也必须调用该文件,即:

vsim -wlf waveform.wlf -do wave.do
然后

vsim -view waveform.wlf -do wave.do

谢谢,太好了!我在我的脚本中使用了这个命令,它运行得非常好。谢谢,这非常好!我在脚本中使用了这个命令,它运行得非常好。