System verilog SystemVerilog函数能否返回包中定义的类型的值?

System verilog SystemVerilog函数能否返回包中定义的类型的值?,system-verilog,System Verilog,SystemVerilog函数能否返回包中定义的类型的值? 在声明函数类型之前,如何导入包?我想您希望返回一个数据类型值,该值是在包中定义的 下面是它的示例代码 package tmp; typedef bit[1:0] x; endpackage import tmp::*; module tp(); x a; initial begin a = return_x(); $display("a - %p", a); end endmodule fun

SystemVerilog函数能否返回包中定义的类型的值?
在声明函数类型之前,如何导入包?

我想您希望返回一个数据类型值,该值是在包中定义的

下面是它的示例代码

package tmp;
  typedef bit[1:0] x;
endpackage

import tmp::*;

module tp();
  x a;

  initial
  begin
    a = return_x();
    $display("a - %p", a);
  end
endmodule

function x return_x();
  return_x = 3;
endfunction

// Output 
// a = 3

你需要举一个你遇到麻烦的例子。您可以在包中定义类型,并在引用它们之前导入,或显式引用包。函数没有什么特别之处。