System verilog 活性和反应性UVM试剂

System verilog 活性和反应性UVM试剂,system-verilog,uvm,System Verilog,Uvm,我正在为一个协议开发一个UVM代理,该协议在同一信号上具有rx和tx事务 我如何实现这一点 我考虑了一个驱动程序,它将从两个不同的定序器获取项目,一个用于RX无功,另一个用于TX有功。 但从网络研究来看,这似乎是不正确的 我想听听什么是正确的方式做这在UVM 非常感谢 您的术语可能与UVM使用的术语不同。UVM有活动和非活动代理,其中非活动代理只是一个从不驱动总线的代理 您需要的有时称为从属序列或响应程序 从属序列向驱动程序(在您的情况下为RX驱动程序)发送虚拟请求序列_项,并等待来自TX驱动程

我正在为一个协议开发一个UVM代理,该协议在同一信号上具有rx和tx事务

我如何实现这一点

我考虑了一个驱动程序,它将从两个不同的定序器获取项目,一个用于RX无功,另一个用于TX有功。 但从网络研究来看,这似乎是不正确的

我想听听什么是正确的方式做这在UVM


非常感谢

您的术语可能与UVM使用的术语不同。UVM有活动和非活动代理,其中非活动代理只是一个从不驱动总线的代理

您需要的有时称为从属序列或响应程序

从属序列向驱动程序(在您的情况下为RX驱动程序)发送虚拟请求序列_项,并等待来自TX驱动程序的事务。RX驱动器将响应发送回从属_序列,下一个序列项实际上是对上一个序列_项的响应


因此,请求和响应的含义在从属_序列中颠倒,并转移到下一个序列_项中。您可以找到示例和。

所以我需要两个不同的驱动程序?在同一个代理中?您将需要两个不同的驱动程序。它们是否在同一个代理中取决于它们之间的关系。