Warning: file_get_contents(/data/phpspider/zhask/data//catemap/2/facebook/8.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
Verilog 如何使用xpower进行功率估计_Verilog_Fpga_Xilinx Ise_Synopsys Vcs - Fatal编程技术网

Verilog 如何使用xpower进行功率估计

Verilog 如何使用xpower进行功率估计,verilog,fpga,xilinx-ise,synopsys-vcs,Verilog,Fpga,Xilinx Ise,Synopsys Vcs,我一直在用Verilog做一个课堂项目。我必须创建一个电路,然后计算电路使用的功率。我一直在尝试使用Xpower Analyzer,我按照说明创建vcd文件,使用Xilinx ISE 14.7编译和合成代码。一切都很顺利,直到结果出来。我从时钟接收到0功耗。我试图限制时钟,它只给我一个从0到0.009的动态功率增量,但在时钟中没有运气。另外,我在我的个人电脑和我的大学计算机实验室里尝试了Xpower,所以我不认为这是一个软件缺陷。 此外,我还尝试了不同的设计,例如简单的alu、寄存器等。尽管如此

我一直在用Verilog做一个课堂项目。我必须创建一个电路,然后计算电路使用的功率。我一直在尝试使用Xpower Analyzer,我按照说明创建vcd文件,使用Xilinx ISE 14.7编译和合成代码。一切都很顺利,直到结果出来。我从时钟接收到0功耗。我试图限制时钟,它只给我一个从0到0.009的动态功率增量,但在时钟中没有运气。另外,我在我的个人电脑和我的大学计算机实验室里尝试了Xpower,所以我不认为这是一个软件缺陷。 此外,我还尝试了不同的设计,例如简单的alu、寄存器等。尽管如此,我仍然得到了相同的功耗结果

更多信息:

  • 测试台运行良好,满足我的要求
  • 我声明时钟:模块顶部交通灯( 时钟,rst,输出)
  • 列表项:我已将时钟限制为20纳秒
  • 定时相位=0。合成后(不确定这意味着什么)
  • 来自:
  • HDLCompiler:413-第86行:5位表达式的结果被截断以适合4位目标
  • 物理设计规则:372-门控时钟。时钟网络主时钟由一个组合引脚提供。这不是好的设计实践。使用CE引脚控制将数据加载到触发器中

我的问题是什么

  • 这是设置时钟的方法吗?我认为这可能是问题的原因
  • 除了得到VCD文件和合成代码之外,还有什么需要做的吗
  • 还有其他想法、例子或教程吗

  • 屏幕截图显示该设计非常小,因此时钟功率小于1mW并不令人惊讶。Xilinx还提供了用于功率估算的Excel表格。它可以用于快速试用,看看什么情况下使时钟功率显著