如何使用VHDL子模块中声明的函数/任务

如何使用VHDL子模块中声明的函数/任务,vhdl,Vhdl,我如何使用实体(模块调用模块)中声明的函数(函数),该实体来自另一个顶层实体(模块顶层),该顶层实体具有模块调用模块的实例化 这在verilog中是可能的,通过以下方式实现 模块_调用_fn_a。函数a() 在VHDL中是否可能?该函数将是调用模块的模块的本地函数,不能从其他模块使用 相反,为了在两个模块中具有相同的功能,创建一个包并在两个模块中使用该包。明确禁止引用在不同实体体系结构中声明的子程序的能力参见IEEE Std 1076-2008,6.3选定名称,第9,10段。(VHDL不调用对象

我如何使用实体(模块调用模块)中声明的函数(函数),该实体来自另一个顶层实体(模块顶层),该顶层实体具有模块调用模块的实例化

这在verilog中是可能的,通过以下方式实现 模块_调用_fn_a。函数a()


在VHDL中是否可能?

该函数将是调用模块的模块的本地函数,不能从其他模块使用


相反,为了在两个模块中具有相同的功能,创建一个包并在两个模块中使用该包。

明确禁止引用在不同实体体系结构中声明的子程序的能力参见IEEE Std 1076-2008,6.3选定名称,第9,10段。(VHDL不调用对象模块和子模块)。