在VHDL中使用串联移位

在VHDL中使用串联移位,vhdl,Vhdl,非常感谢。移位运算符(sll、srl、sla、sra、rol和ror)未在VHDL-87中提供,因此您将看到许多代码使用串联和部分数组显式移位。编写上述移位的更通用方法(适用于各种数组大小)是: 数据交换谢谢。你的通用方法是10到1,对吧???“你是做什么的?”??? Data_Int<='0' & Data_Int(7 downto 1); --if shift then shift it right Data_Int : std_vector(10 downto 0); --

非常感谢。

移位运算符(sll、srl、sla、sra、rol和ror)未在VHDL-87中提供,因此您将看到许多代码使用串联和部分数组显式移位。编写上述移位的更通用方法(适用于各种数组大小)是:


数据交换谢谢。你的通用方法是10到1,对吧???“你是做什么的?”???
Data_Int<='0' & Data_Int(7 downto 1); --if shift then shift it right
Data_Int : std_vector(10 downto 0);
-- and Data_Int has "1010010011"