信号初始化VHDL

信号初始化VHDL,vhdl,active-hdl,Vhdl,Active Hdl,我有一个实体有这个 TEMPERATURE: in STD_LOGIC_VECTOR(7 downto 0); 作为输入之一,我需要一个具有初始温度值的信号。 在实体架构中,我声明了信号 signal temp:STD_LOGIC_VECTOR(7 downto 0):=TEMPERATURE; 但在模拟时,温度保持UU(未分配)。如何解决此问题?欢迎来到SackOverflow。我们不知道什么是温度,并且缺少很多上下文。请提供一个。在模拟启动时,温度都是'U'。因此,temp被初始化为所有

我有一个实体有这个

TEMPERATURE: in STD_LOGIC_VECTOR(7 downto 0);
作为输入之一,我需要一个具有初始温度值的信号。 在实体架构中,我声明了信号

signal temp:STD_LOGIC_VECTOR(7 downto 0):=TEMPERATURE;

但在模拟时,温度保持UU(未分配)。如何解决此问题?

欢迎来到SackOverflow。我们不知道什么是温度,并且缺少很多上下文。请提供一个。在模拟启动时,
温度
都是
'U'
。因此,
temp
被初始化为所有
'U'
'U'
表示未初始化,而不是未分配。您应该从(通用)常量初始化
temp
,或者实现触发器。您当前的设计将无法按预期进行合成。您找到该信号上的所有驱动程序,然后初始化或断开它们。这听起来像是一个错误。如果这是您的设计,您根本不应该初始化来自输入的信号。所以,不要试图初始化<代码> TEMP<代码> > <代码>温度>代码>,我将后退一步,考虑你正在尝试实现什么(以及你期望合成什么硬件)。