Vhdl GHDL:文件std_logic_1164.v93已更改,必须重新分析

Vhdl GHDL:文件std_logic_1164.v93已更改,必须重新分析,vhdl,ghdl,Vhdl,Ghdl,你知道在使用ghdl vhdl模拟器编译时是什么导致了这个错误吗 $ ghdl -a /common_pkg.vhd ./common_sim_pkg.vhd:2:14: file std_logic_1164.v93 has changed and must be reanalysed 常见的_pkg.vhd是: library ieee; use ieee.std_logic_1164.all; package common_pkg is component block1

你知道在使用ghdl vhdl模拟器编译时是什么导致了这个错误吗

$ ghdl -a /common_pkg.vhd
./common_sim_pkg.vhd:2:14: file std_logic_1164.v93 has changed and must be reanalysed
常见的_pkg.vhd是:

library ieee;
use     ieee.std_logic_1164.all;

package common_pkg is

  component block1 is
    port (
      port1  : out std_logic_vector(13 downto 0);
      port2  : in    std_logic;
      port3  : in    std_logic;
      port4  : in    std_logic
      );
  end component;

  component block2 is
    port(
      port1 : in    std_logic_vector(11 downto 0);
      port2 : in    std_logic_vector(11 downto 0);
      port3 : in    std_logic_vector(11 downto 0);
      port4 : in    std_logic_vector(11 downto 0);
      port5 : in    std_logic_vector(11 downto 0);
      port6 : in    std_logic_vector(11 downto 0);
      port7 : in    std_logic_vector(11 downto 0);
      port8 : in    std_logic_vector(11 downto 0);

      port9  :   out std_logic;
      port10 : in    std_logic;
      port11 : in    std_logic;
      port12 : in    std_logic
      );
  end component;

end package;
以下是ghdl版本:

$ ghdl -v
GHDL 0.29.1 (20100301) [Sokcho edition]
 Compiled with GNAT Version: GPL 2009 (20090519)
 mcode code generator
Written by Tristan Gingold.

Copyright (C) 2003 - 2010 Tristan Gingold.
GHDL is free software, covered by the GNU General Public License.  There is NO
warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.

安装后写入、安装期间阻止写入或损坏了软件包std_logic_1164的源或库文件(以.cf结尾)。考虑从Windows的版本号下载A,使用明W32或64(Windows McCad版本是32位可执行文件)。YUP,这就是我最终解决它的方法…下载一个新版本似乎解决了这个问题。(奇怪的是,ghdl的相同二进制文件在不同的计算机上工作得非常完美…这让我有点困惑…可能是不同版本的windows之间的一些差异…)ghdl从0.29开始已经走过了很长的路。。。强烈建议在安装后写入、安装期间阻止写入或损坏软件包std_logic_1164的源代码或库文件(以.cf结尾)时进行升级和更新。考虑从Windows的版本号下载A,使用明W32或64(Windows McCad版本是32位可执行文件)。YUP,这就是我最终解决它的方法…下载一个新版本似乎解决了这个问题。(奇怪的是,ghdl的相同二进制文件在不同的计算机上工作得非常完美…这让我有点困惑…可能是不同版本的windows之间的一些差异…)ghdl从0.29开始已经走过了很长的路。。。强烈建议升级-请访问