Warning: file_get_contents(/data/phpspider/zhask/data//catemap/6/xamarin/3.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181

Warning: file_get_contents(/data/phpspider/zhask/data//catemap/3/apache-spark/5.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
VHDL中的通用包_Vhdl - Fatal编程技术网

VHDL中的通用包

VHDL中的通用包,vhdl,Vhdl,有可能有一个通用包吗? 这意味着,当我实例化实体时,我提供了一些通用的,包将依赖于此 我想多次使用一个块,每次使用不同的包(即不同的参数) 我必须使用package,因为我想使用数组数组,我只能用包来实现。不,不是这样,因为库子句是静态计算的,您需要的是动态绑定之类的东西,这在vhdl中是不可能的 然而,似乎您需要一种方便的方式来提供不同的泛型值“集合”。在这种情况下,我建议您查看用户定义的记录或数组类型。例如,您可以定义包含配置数据的记录数组,并为每个实例化提供其自己的数组集合。整洁干净,而且

有可能有一个通用包吗? 这意味着,当我实例化实体时,我提供了一些通用的,包将依赖于此

我想多次使用一个块,每次使用不同的包(即不同的参数)


我必须使用package,因为我想使用数组数组,我只能用包来实现。

不,不是这样,因为库子句是静态计算的,您需要的是动态绑定之类的东西,这在vhdl中是不可能的

然而,似乎您需要一种方便的方式来提供不同的泛型值“集合”。在这种情况下,我建议您查看用户定义的记录或数组类型。例如,您可以定义包含配置数据的记录数组,并为每个实例化提供其自己的数组集合。整洁干净,而且所有工具都支持这一点。

是的,但这是一项功能。因此,您是否能够使用它取决于您的工具

这个功能非常强大


以下是。

这是Xilinx的Vivado工具集目前可以实现的,它支持许多VHDL-2008功能。参考提供的其他答案。

哦,我明白了。。我想你会想根据一些通用软件的价值包括不同的软件包