Vhdl 需要帮助构建T和JK触发器吗

Vhdl 需要帮助构建T和JK触发器吗,vhdl,cad,Vhdl,Cad,T触发器 D触发器 D闩锁 我需要制作一个T和JK触发器。我能够创建d锁存器和d触发器,它们似乎都能正常工作。然而,在我尝试添加nor门来创建T触发器之后,它不会对矢量波形产生任何结果。当我尝试从D触发器生成JK FF时,也会发生同样的情况。您没有指定如何尝试构建它们,因此很难猜测确切的问题 在VHDL中使用std_逻辑实现的T-FF需要重置才能退出 存储元素中的首字母“U”。这个“U”通过XOR反馈并保持 FF位于“U”中,无法删除它 如果这是您的问题,请添加重置输入。向我们显示波形。。

T触发器
D触发器
D闩锁


我需要制作一个T和JK触发器。我能够创建d锁存器和d触发器,它们似乎都能正常工作。然而,在我尝试添加nor门来创建T触发器之后,它不会对矢量波形产生任何结果。当我尝试从D触发器生成JK FF时,也会发生同样的情况。

您没有指定如何尝试构建它们,因此很难猜测确切的问题

在VHDL中使用std_逻辑实现的T-FF需要重置才能退出 存储元素中的首字母“U”。这个“U”通过XOR反馈并保持 FF位于“U”中,无法删除它


如果这是您的问题,请添加重置输入。

向我们显示波形。。。也可能在electronics.se上得到更好的响应?“然而,在我尝试添加nor门来创建一个T触发器之后,它不会产生任何结果”。。。示意图显示了一个异或门,那么您在VHDL代码中使用了哪一个呢?对于jk触发器,我认为这一页可能会有所帮助。您是什么意思,您需要“构建”一个触发器?你是说你想用VHDL描述它吗?VHDL通常用于描述更高级别的硬件,而不是门或触发器。