错误:Modelsim上的顶级vhdl

错误:Modelsim上的顶级vhdl,vhdl,modelsim,Vhdl,Modelsim,错误: **错误:(vcom-11)找不到工作。旋转栅门检测器 **错误:C:/Modeltech\u pe\u edu\u 10.4a/examples/TopLevel.vhd(14):退出的VHDL编译器您需要将转门检测器的架构模块更改为TopLevel的架构模块如何解决错误?欢迎使用StackOverflow。为了帮助你,你应该提出一个明确的问题。可以找到更多信息。请发一封邮件,这样我们可以重现这个问题。 library IEEE; use IEEE.STD_LOGIC_1164.ALL

错误: **错误:(vcom-11)找不到工作。旋转栅门检测器


**错误:C:/Modeltech\u pe\u edu\u 10.4a/examples/TopLevel.vhd(14):退出的VHDL编译器

您需要将转门检测器的架构模块更改为
TopLevel的架构模块

如何解决错误?欢迎使用StackOverflow。为了帮助你,你应该提出一个明确的问题。可以找到更多信息。请发一封邮件,这样我们可以重现这个问题。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL; 
entity TopLevel is
Port ( reset : in std_logic;
clock : in std_logic;
coin : in std_logic;
push : in std_logic;
count1 : out std_logic_vector(15 downto 0)

); 
end TopLevel;
architecture Modular of TurnstileDetector is
signal unlock : std_logic;
begin
controller: entity   TurnstileDetectorController
Port map ( reset => reset,
clock => clock,
coin => coin,
push => push,
unlock => unlock 
);
counter: entity work.counter
Port map ( reset => reset,
clock => clock,
cen => unlock,
q => count1
);
end architecture Modular;