Vhdl 在哪里安装Quartus Prime Lite中用于功能模拟的编译设备库?

Vhdl 在哪里安装Quartus Prime Lite中用于功能模拟的编译设备库?,vhdl,quartus,Vhdl,Quartus,我正在尝试使用Quartus中的矢量波形文件,使用模拟波形工具对我的VHDL设计进行功能模拟。但是,当我开始运行模拟时,会出现以下错误: # ** Error: ORB-SLAM.vho(31): Library maxv not found. # -- Loading package TEXTIO # -- Loading package std_logic_1164 # -- Loading package std_logic_arith # ** Error: ORB-SLAM.vho(3

我正在尝试使用Quartus中的矢量波形文件,使用模拟波形工具对我的VHDL设计进行功能模拟。但是,当我开始运行模拟时,会出现以下错误:

# ** Error: ORB-SLAM.vho(31): Library maxv not found.
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# ** Error: ORB-SLAM.vho(34): (vcom-1136) Unknown identifier "MAXV".
# 
# ** Error: ORB-SLAM.vho(36): VHDL Compiler exiting
# End time: 12:57:23 on Apr 09,2017, Elapsed time: 0:00:00
# Errors: 3, Warnings: 0
# ** Error: C:/Modeltech_pe_edu_10.4a/win32pe_edu/vcom failed.
# Executing ONERROR command at macro ./ORB-SLAM.do line 3

Error.

我相信这可能与Modelsim(安装在我的计算机上)或Quartus没有为我尝试模拟的设备安装正确的库有关,在本例中,这就是MAXV。我有一些从Altera网站下载的设备的.qdz文件,我已经在Quartus中安装了它们,所以我强烈怀疑我需要使用Quartus的EDA模拟库编译器为Modelsim编译这些文件,但我不知道在哪里输出它们才能使其正常工作。任何帮助都将不胜感激

我怀疑您需要在Modelsim中编译MAXV库,就像编译任何其他VHDL一样(即不需要特殊工具)。以下是我们其中一个培训课程的说明,用于编译旋风IV(非MAXV)。我可以将它们改编成MAXV,但这需要我做一些研究,你可以这样做:

要模拟门级网表,需要编译 在ModelSim中生成仿真模型。这是参考图书馆 叫

cycloneive
如果您使用的是ModelSim Altera Edition,则这些版本的预编译版本 提供了库,因此您可能会错过这一步。如果你是 使用Modelsim的任何其他版本,您将需要编译这些 图书馆本身:

创建一个名为
altera
的新库。 将以下源文件编译到此库中,您可以在quartus的quartus/eda/sim_lib目录中找到这些源文件 安装

 altera_primitives_components.vhd
 altera_primitives.vhd
创建另一个名为
cycloneive
的新库

将以下源文件编译到此库中,您也可以在quartus的
quartus/eda/sim_lib
目录中找到这些源文件 安装

cycloneive_atoms.vhd
cycloneive_components.vhd

我怀疑您需要在Modelsim中编译MAXV库,就像编译任何其他VHDL一样(即不需要特殊工具)。以下是我们其中一个培训课程的说明,用于编译旋风IV(非MAXV)。我可以将它们改编成MAXV,但这需要我做一些研究,你可以这样做:

要模拟门级网表,需要编译 在ModelSim中生成仿真模型。这是参考图书馆 叫

cycloneive
如果您使用的是ModelSim Altera Edition,则这些版本的预编译版本 提供了库,因此您可能会错过这一步。如果你是 使用Modelsim的任何其他版本,您将需要编译这些 图书馆本身:

创建一个名为
altera
的新库。 将以下源文件编译到此库中,您可以在quartus的quartus/eda/sim_lib目录中找到这些源文件 安装

 altera_primitives_components.vhd
 altera_primitives.vhd
创建另一个名为
cycloneive
的新库

将以下源文件编译到此库中,您也可以在quartus的
quartus/eda/sim_lib
目录中找到这些源文件 安装

cycloneive_atoms.vhd
cycloneive_components.vhd

我知道你刚才回答了这个问题,但是谢谢你的帮助!我知道你刚才回答了这个问题,但是谢谢你的帮助!