Arrays 系统Verilog-从测试台读取一行数据并拆分数据

Arrays 系统Verilog-从测试台读取一行数据并拆分数据,arrays,system-verilog,test-bench,Arrays,System Verilog,Test Bench,我是SystemVerilog编程的初学者。我有一个名为“input.in”的文件,它有大约32位的数据。该值仅出现在文件的一行中。 从测试台发送的数据必须拆分为一个数组或4个变量,每个变量只包含8位输入。请有人帮帮我:(我想,你想把32位的数据分成4个字节的数据。 请尝试以下操作: {>{a,b,c,d}}=var_32_位;//a,b,c,d是8位变量。 //var_32_位是32位大小的数组或32位变量。{位a[]或位[31:0]} 这是你需要的吗

我是SystemVerilog编程的初学者。我有一个名为“input.in”的文件,它有大约32位的数据。该值仅出现在文件的一行中。
从测试台发送的数据必须拆分为一个数组或4个变量,每个变量只包含8位输入。请有人帮帮我:(

我想,你想把32位的数据分成4个字节的数据。 请尝试以下操作:

{>{a,b,c,d}}=var_32_位;//a,b,c,d是8位变量。 //var_32_位是32位大小的数组或32位变量。{位a[]或位[31:0]}

这是你需要的吗