Warning: file_get_contents(/data/phpspider/zhask/data//catemap/2/image-processing/2.json): failed to open stream: No such file or directory in /data/phpspider/zhask/libs/function.php on line 167

Warning: Invalid argument supplied for foreach() in /data/phpspider/zhask/libs/tag.function.php on line 1116

Notice: Undefined index: in /data/phpspider/zhask/libs/function.php on line 180

Warning: array_chunk() expects parameter 1 to be array, null given in /data/phpspider/zhask/libs/function.php on line 181
Compiler errors VHDL内部信号声明导致驱动程序错误_Compiler Errors_Driver_Fpga - Fatal编程技术网

Compiler errors VHDL内部信号声明导致驱动程序错误

Compiler errors VHDL内部信号声明导致驱动程序错误,compiler-errors,driver,fpga,Compiler Errors,Driver,Fpga,这里有一个简单的代码,其中有一个问题,编译器无法为net led_int[0]解析多个常量驱动程序 architecture bdf_type of Test is signal led_int : STD_LOGIC_VECTOR(4 downto 0); component misc port ( reset_reset_n : IN STD_LOGIC; userleds_external_conne

这里有一个简单的代码,其中有一个问题,编译器无法为net led_int[0]解析多个常量驱动程序

architecture bdf_type of Test is 
  signal led_int : STD_LOGIC_VECTOR(4 downto 0);

  component misc
    port (
      reset_reset_n                       : IN  STD_LOGIC;
      userleds_external_connection_export : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
    );
  end component;
begin 
  b2v_M1 : misc
    port map (
      reset_reset_n =>                       Nios_Reset_n,
      userleds_external_connection_export => led_int
    );

  led_int(0) <= '0';
  UserLed <= led_int;
end architecture;
为什么我会收到相同的错误消息,但这里有错误10028:无法解析Test.vhd11上网络led_int[0]的多个常量驱动程序


如何解决这个简单的问题?

您有两个用于led in0信号的驱动器

led_int 0 led_int根据misc模块中的内容将其拉高或拉低

在vhdl中,一个信号不允许有两个驱动程序。如果您不需要两个驱动程序,则需要在它们之间插入一些逻辑,例如or门、and门、多路复用器或类似的驱动程序。

可能的重复