System verilog 有没有办法在ovm_代理上运行uvm_序列?

System verilog 有没有办法在ovm_代理上运行uvm_序列?,system-verilog,uvm,System Verilog,Uvm,我们正在将结核病转移到UVM。 我正在使用UVM验证第一个IP。 我必须找出是否有可能在SOC中重复使用我的uvm_序列,该序列在OVM平均时间内保持不变。 如果可能的话,比如找一个例子来说明它是如何完成的 提前感谢。您不能以这种方式混合OVM和UVM。您应该能够通过简单地将u更改为o来编写uvm_序列,使其在两种情况下都能工作。您必须将您的序列限制为两种语言中都存在的功能 如果你使用UVM-RAL。有一种方法可以将该功能重新集成到OVM中 还有另一个软件包,它为您提供了uvm_config_d

我们正在将结核病转移到UVM。 我正在使用UVM验证第一个IP。 我必须找出是否有可能在SOC中重复使用我的uvm_序列,该序列在OVM平均时间内保持不变。 如果可能的话,比如找一个例子来说明它是如何完成的


提前感谢。

您不能以这种方式混合OVM和UVM。您应该能够通过简单地将u更改为o来编写uvm_序列,使其在两种情况下都能工作。您必须将您的序列限制为两种语言中都存在的功能

如果你使用UVM-RAL。有一种方法可以将该功能重新集成到OVM中


还有另一个软件包,它为您提供了uvm_config_db的功能。

您不能以这种方式混合OVM和uvm。您应该能够通过简单地将u更改为o来编写uvm_序列,使其在两种情况下都能工作。您必须将您的序列限制为两种语言中都存在的功能

如果你使用UVM-RAL。有一种方法可以将该功能重新集成到OVM中

还有另一个包,它为您提供了uvm_config_db的功能