verilog modelsim fpga

verilog modelsim fpga,verilog,fpga,modelsim,Verilog,Fpga,Modelsim,对不起,我的问题太生疏了 我正在努力学习FPGA编程 在我花1K美元购买FPGA板之前: 如果我只想学习Verilog,我可以完全在Modelsim中运行它吗?(我意识到有些计时问题只会在实际芯片中出现;但用于学习语法/编码风格/…)_ 谢谢 当然可以 然而,有一些事情是模拟器可以让你逃避的,而FPGA编译器却不能。有一个$favorite_搜索引擎,搜索像verilog coding styles synthesis这样的关键字 有关HDL编程和计算机编程之间差异的一些信息,请参阅。如果您只喜

对不起,我的问题太生疏了

我正在努力学习FPGA编程

在我花1K美元购买FPGA板之前:

如果我只想学习Verilog,我可以完全在Modelsim中运行它吗?(我意识到有些计时问题只会在实际芯片中出现;但用于学习语法/编码风格/…)_

谢谢

当然可以

然而,有一些事情是模拟器可以让你逃避的,而FPGA编译器却不能。有一个$favorite_搜索引擎,搜索像
verilog coding styles synthesis
这样的关键字


有关HDL编程和计算机编程之间差异的一些信息,请参阅。

如果您只喜欢学习该语言并模拟结果,那么您肯定不需要在开发板上花钱

您可以免费获得包含ModelSim基本版本的

正如您所提到的,模拟和测试台是FPGA设计的一个方面。实际上,让设计在真正的硬件上工作通常是更具挑战性的部分。然而,仅仅使用软件,你就可以学习语言,掌握模拟,甚至综合你的设计,以确保它符合时间要求并适合目标设备


我还建议您的第一个开发板的1千美元相当高。我会从像这样的东西开始,它有点低于300美元。您将得到一个具有相当数量的逻辑、内存和DSP片的设备。

我们有一个硬件系统课程,其中一些FPGA编程是使用VHDL完成的。我下载了ModelSim Altera软件(此处提供)

我们的实验室里有FPGA板,所以很容易看到您的模型的行为。就你而言,我建议:

  • 开始对加法器、解码器等基本电路进行建模。在ModelSim中,您还可以创建和配置(不同信号的频率等特征)测试台,以验证(使用时序图)您的模型

  • 一旦你对语法和建模有了信心,你就可以在你周围找一个实验室,让你的双手沾污

  • 我希望这有帮助


    干杯

    正如其他人所指出的,模拟器会让你走得更远。不过,没有什么比让一些真正的LED灯亮起或熄灭更有趣的了——屏幕上晃动的波形就是不一样:)


    许多初学者工具包都有VGA输出,因此您可以显示自己的图片,这总是令人满意的(我发现!)1000美元是一大笔钱,试试这个189美元(有VGA),或者这个(没有)。

    上面的每个人都是对的。然而,有一个Verilog和VDHL的可综合子集可用于实际硬件。例如,无法使用$display。递归可能在某些工具中得到支持,正如@Chiggs在下面指出的那样。在编写代码时,如果代码将用于芯片,请记住这一点。但是,完整的语言可以在测试台上使用。

    如果您试图学习Verilog,则无需实际购买FPGA板(不过,您可以以远低于1000美元的价格购买FPGA开发板-您可以以100美元的价格购买Xilinx的工具包)。您可以而且应该首先使用模拟器学习Verilog,不过如果您不想花我建议的任何免费(开源)的钱的话。

    没有人提到要学习SystemVerilog和/或VHDL。主要供应商提供的模拟行业标准工具的100%免费版本。在云端奔跑。无需下载。需要电子邮件注册。不要把你的雇主代码放在上面;它在别人的电脑上。edaplaygroud网站本身有一些示例设计。其他网站的代码示例都运行在电子操场上,因此您可以通过单击一个按钮来运行它们的代码示例作为学习课程。此处列出了verilog示例:

    正如其他人所说,FPGA和ASIC设计的某些方面在模拟流程中您将不会学到,特别是那些相关的物理实现,但您可以从这种方法中学到很多东西,而且成本非常低(他们需要您的电子邮件)


    也就是说,edaplaygroud也有一个合成工具。

    供参考,莱迪思制造了几种低成本FPGA和开发套件,这也是一个不错的选择。Xilinx并不是唯一的FPGA制造商,只是更受欢迎/更成熟的供应商之一正如@Sam Post所指出的,还有其他选择。确保您了解将要进行的项目类型,以便获得能够支持您的需求的硬件。我建议的电路板只是我个人拥有的电路板之一,然后用于显示波形。我使用Quartus合成了递归函数,没有任何问题:)