如何在vhdl中创建包含文件?

如何在vhdl中创建包含文件?,vhdl,Vhdl,我需要使用一个模块,我以前在另一个模块中使用vhdl创建的,我找不到关于如何执行此操作的任何信息。我被迫使用maxplus2,我唯一发现的是我可以在那里创建include文件(扩展名为.inc),但我仍然无法将其包含在我的第二个模块中。我花了一上午的时间搜索这些信息,但什么也没找到。 有人能帮我吗?你没有 VHDL没有包含文件,它避免了整个可怕的灾难性不可靠的混乱 VHDL使用单独的编译,好的VHDL工具(不是所有工具!)可以正确跟踪所有依赖项,而无需包含或生成文件 因此,您可以将其他模块编译到

我需要使用一个模块,我以前在另一个模块中使用vhdl创建的,我找不到关于如何执行此操作的任何信息。我被迫使用maxplus2,我唯一发现的是我可以在那里创建include文件(扩展名为.inc),但我仍然无法将其包含在我的第二个模块中。我花了一上午的时间搜索这些信息,但什么也没找到。 有人能帮我吗?

你没有

VHDL没有包含文件,它避免了整个可怕的灾难性不可靠的混乱

VHDL使用单独的编译,好的VHDL工具(不是所有工具!)可以正确跟踪所有依赖项,而无需包含或生成文件

因此,您可以将其他模块编译到一个库中——可能是“my_模块”——或者如果您没有指定一个库,只需编译它,它就会进入名为“work”的默认库中

然后在主模块中命名库(除了“work”,它总是在那里)

并命名您想要从中获得的东西(模块、包)(除了“工作”…)

现在,您可以使用这些库中的任何内容。使用模块的最简单方法是“直接实体实例化”-搜索该模块和“VHDL”将向您展示如何使用。 或者,您可以在主模块中声明一个与其他模块具有相同端口的组件,并且正确的模块将在精化时替换该组件(VHDL术语,用于链接)。如果您还没有编写库模块,那么您需要一个组件-即自顶向下的设计。。。否则,直接实体实例化更简单


现在,忽略“我的模块”,只使用“工作”——当你开始一个大的设计时,使用库来组织它,例如,将硬件和测试台分开。

Brian为你提供了正确的答案。我想补充一点,这与您的问题有关,因为它是人们使用的其他内容,包括文件用于:

package
s是VHDL共享数据类型、常量、函数和过程的方式。

相关
library ieee;
library my_modules;
use ieee.numeric_std.all;
use my_modules.all;