Vhdl 这是什么意思?a<;=a(6至0)及';0'; 信号a:std_逻辑_向量(7到0):=(其他=>'0'); a

Vhdl 这是什么意思?a<;=a(6至0)及';0'; 信号a:std_逻辑_向量(7到0):=(其他=>'0'); a,vhdl,Vhdl,可能等效语法有助于理解: signal a : std_logic_vector (7 downto 0) := (others => '0'); a <= a (6 downto 0) & '0'; a(7到0)这是一个非常简短的定义,通过将最右边的位替换为“0”来向左移位位 a(7 downto 0) <= a(6 downto 0) & '0';

可能等效语法有助于理解:

signal a : std_logic_vector (7 downto 0) := (others => '0');

a <= a (6 downto 0) & '0';

a(7到0)这是一个非常简短的定义,通过将最右边的位替换为“0”来向左移位位

a(7 downto 0) <= a(6 downto 0) & '0';