Vhdl 我可以直观地分离位向量常量中的位吗?

Vhdl 我可以直观地分离位向量常量中的位吗?,vhdl,Vhdl,我可以在位向量声明中分离位以更好地区分它们吗? 例如,我有一条32位指令,如: 00000000000000000000000000 正如你所看到的,它不像8位那样容易查看。所以我想写一些东西,比如: 00000000000000000000000000 塔达阿!更具可读性。在VHDL中是否有这样做的方法?在位字符串文字和十进制文字中,下划线字符“\u1”被删除,并且不影响值 < P> > 0000000×00000,000,000,000,00,0或0000000,00000,00000,00

我可以在位向量声明中分离位以更好地区分它们吗? 例如,我有一条32位指令,如:

00000000000000000000000000

正如你所看到的,它不像8位那样容易查看。所以我想写一些东西,比如:

00000000000000000000000000


塔达阿!更具可读性。在VHDL中是否有这样做的方法?

在位字符串文字和十进制文字中,下划线字符“\u1”被删除,并且不影响值


< P> > 0000000×00000,000,000,000,00,0或0000000,00000,00000,000,000,0,0 0 0是允许的。

< P>其他的要考虑的是<代码>和/代码>运算符,用来连接几个元素,甚至不同的数据类型(当然有适当的转换):

sig谢谢:)这正是我一直在寻找的东西。
sig <= some_top_bits & "00" & some_other_bits & to_unsigned(some_integer, 4);