Vhdl Quartus II-状态机查看器不';不要显示气泡图

Vhdl Quartus II-状态机查看器不';不要显示气泡图,vhdl,state-machine,fpga,Vhdl,State Machine,Fpga,我使用Quartus II网络版12.1和ModelSim SE 10.1c(非Altera版)。 我已经规划了一个同步的单进程状态机,它有一个重置状态和其他11个状态 设计成功编译后,我可以在RTL查看器中看到设计的体系结构,在这里,状态机由具有正确输入和输出的特征黄色框表示,但状态机查看器不会显示任何气泡图:如果我单击“编码”tab所有状态都显示在状态表中,但“转换”部分中没有显示任何状态。 未报告任何错误消息 当项目还很年轻,状态机也不那么复杂时,状态机查看器正确地显示了气泡图。但是当我开

我使用Quartus II网络版12.1和ModelSim SE 10.1c(非Altera版)。 我已经规划了一个同步的单进程状态机,它有一个重置状态和其他11个状态

设计成功编译后,我可以在RTL查看器中看到设计的体系结构,在这里,状态机由具有正确输入和输出的特征黄色框表示,但状态机查看器不会显示任何气泡图:如果我单击“编码”tab所有状态都显示在状态表中,但“转换”部分中没有显示任何状态。
未报告任何错误消息

当项目还很年轻,状态机也不那么复杂时,状态机查看器正确地显示了气泡图。但是当我开始开发机器并添加一些if条件时,气泡图就不再显示了

对于Quartus II,转换气泡图表示似乎是个问题,状态机查看器无法显示复杂的状态机。
ModelSim识别状态机并模拟它,不会出现问题


如何获取我的机器的气泡图?

您能看到Modelsim的FSM吗?SE版本也可以。(查看->FSM列表(g))。您可能必须使用“vcom”(+cover?)和“vsim”(-cover?)的正确编译选项才能在Modelsim中看到FSM。非常感谢vermaete!现在我可以在ModelSim中看到FSM了!我想知道为什么Quartus II不能像ModelSim那样显示这个相当复杂的FSM。我很确定这是一只夸特斯虫子。