Arrays Verilog中的二维数组

Arrays Verilog中的二维数组,arrays,verilog,Arrays,Verilog,我已经定义了 reg bricks[0:3][0:7] 在Verilog模块中。 如何将此二维阵列指定为 {{1,1,1,0,1,1,1},{1,1,1,0,1,1,1},{1,1,1,0,1,1,1},{1,1,1,0,1,1,1}}. 请帮忙 reg bricks [0:3][0:7]; //creates an unpacked array // It means you can access individual bit

我已经定义了

reg bricks[0:3][0:7]
在Verilog模块中。 如何将此二维阵列指定为

{{1,1,1,0,1,1,1},{1,1,1,0,1,1,1},{1,1,1,0,1,1,1},{1,1,1,0,1,1,1}}.
请帮忙

reg bricks [0:3][0:7];     //creates an unpacked array 
                           // It means you can access individual bits

bricks[1][0] = 0 ;         // Assigns 0 to the bit referenced by 1 and 0 

bricks [2] = 0 ;           // Illegal Syntax

bricks [1][0:3] = 0 ;      //Illegal Syntax
系统verilog提供了更大的灵活性


System verilog提供了更大的灵活性

如何分配?作为默认值,或在操作过程中?是否确实需要位的2d数组,而不是多位值的1d数组?e、 g.
reg[7:0]bricks[0:3]
涵盖了您的一些要求。如前所述,您不能以verilog中提到的方式进行直接初始化。但是合成系统verilog的工具可能支持您的格式。如何分配?作为默认值,或在操作过程中?是否确实需要位的2d数组,而不是多位值的1d数组?e、 g.
reg[7:0]bricks[0:3]
涵盖了您的一些要求。如前所述,您不能以verilog中提到的方式进行直接初始化。但是合成系统verilog的工具可能支持您现有的格式。