Arrays VHDL中的参数化元素数组

Arrays VHDL中的参数化元素数组,arrays,range,vhdl,Arrays,Range,Vhdl,在VHDL中,可以通过以下方式创建可参数化范围的数组类型: type t\u array\u type是标准逻辑向量(7到0)的数组(自然范围) 现在,是否可以创建一个参数化元素数组?即,具有阵列类型,其中,在实例化信号时,可以定义std\u logic\u vector?这样做的目的是不必为每个std\u logic\u vector范围声明单独的数组类型。好的,我找到了。将类型声明为: type t_array_type是标准逻辑向量的数组(自然范围) 然后实例化为: 信号t_阵列_类型(2

在VHDL中,可以通过以下方式创建可参数化范围的数组类型:

type t\u array\u type是标准逻辑向量(7到0)的数组(自然范围)


现在,是否可以创建一个参数化元素数组?即,具有阵列类型,其中,在实例化信号时,可以定义
std\u logic\u vector
?这样做的目的是不必为每个
std\u logic\u vector
范围声明单独的数组类型。

好的,我找到了。将类型声明为:

type t_array_type是标准逻辑向量的数组(自然范围)

然后实例化为:


信号t_阵列_类型(23向下至0)(7向下至0)

不过,这只适用于VHDL-2008。在以前版本的VHDL中,只允许使用一个无约束维度,该维度必须是外部维度,即紧跟在关键字
type
之后的维度。