If statement If语句中的数组VHDL

If statement If语句中的数组VHDL,if-statement,vhdl,If Statement,Vhdl,我想问一个问题,如果8位数组都是0,如何为它编写if语句 我写它吗?以start作为控制端口- 如果START='0'; 或 如果开始='00000000' 如果start是标准逻辑向量(7到0)那么你说: if start = "00000000" then . . . 都不是。”0字符的字符文字中的“0”00000000'在语法上无效(请参阅IEEE Std 1076-2008 15.6字符文本,15.8字符串文本)。正如Renato在其回答中未经解释而显示的那样,使用了字符串文字“000

我想问一个问题,如果8位数组都是0,如何为它编写if语句 我写它吗?以start作为控制端口- 如果START='0'; 或 如果开始='00000000'

如果start标准逻辑向量(7到0)那么你说:

if start = "00000000" then
.
.
.

都不是。”0字符的字符文字中的“0”00000000'在语法上无效(请参阅IEEE Std 1076-2008 15.6字符文本,15.8字符串文本)。正如Renato在其回答中未经解释而显示的那样,使用了字符串文字“00000000”。字符串文字的类型是由上下文(9.3.2文字)决定的一维数组。在看不到开始声明的情况下,“0”字符字符串与表示标准包、标准逻辑包、数字包、数字包和固定包中定义的元素类型的位兼容。