System verilog SVA语法:将$pass与向量/数组选择一起使用,即$pass(a[a_选择])

System verilog SVA语法:将$pass与向量/数组选择一起使用,即$pass(a[a_选择]),system-verilog,system-verilog-assertions,System Verilog,System Verilog Assertions,假设我有以下几点: $past(a[a_select]) 假设在当前周期中,a_select为“2”,而在上一周期中为“1”。 上述值是上一周期的[2]值还是上一周期的[1]值 如果它将是上一个周期的[1],那么如果我需要它是上一个周期的[2]——也就是说,我希望选择来自当前周期,但它应该从上一个周期的向量值中选择位。如何做到这一点?通常,$past是一个系统任务,它返回表达式n clock events before的值。如果a_select在当前周期中为“2”,则表达式$a_select将

假设我有以下几点:

$past(a[a_select])
假设在当前周期中,a_select为“2”,而在上一周期中为“1”。 上述值是上一周期的[2]值还是上一周期的[1]值

如果它将是上一个周期的[1],那么如果我需要它是上一个周期的[2]——也就是说,我希望选择来自当前周期,但它应该从上一个周期的向量值中选择位。如何做到这一点?

通常,$past是一个系统任务,它返回表达式n clock events before的值。如果a_select在当前周期中为“2”,则表达式$a_select将返回上一时钟事件中的a[2]值