System verilog 配置类中的虚拟接口

System verilog 配置类中的虚拟接口,system-verilog,uvm,test-bench,System Verilog,Uvm,Test Bench,如何从我的配置类中指出TB_top中使用虚拟接口而不使用uvm_config_db的接口 这个问题测试您对uvm_config_db的了解,它只是uvm_pkg中的一个全局变量数据库。您所要做的就是在包中创建一个虚拟接口变量,在其中定义配置类,然后在调用run_test之前进行设置 你为什么要回避正确的做事方式?我只是从某人那里听到一个面试问题。没有得到这个问题。您的接口位于配置类中,您希望将虚拟接口分配给顶层模块中的该接口。是这样吗? package my_config_pkg; virtu

如何从我的配置类中指出TB_top中使用虚拟接口而不使用uvm_config_db的接口

这个问题测试您对uvm_config_db的了解,它只是uvm_pkg中的一个全局变量数据库。您所要做的就是在包中创建一个虚拟接口变量,在其中定义配置类,然后在调用run_test之前进行设置


你为什么要回避正确的做事方式?我只是从某人那里听到一个面试问题。没有得到这个问题。您的接口位于配置类中,您希望将虚拟接口分配给顶层模块中的该接口。是这样吗?
package my_config_pkg;

virtual my_interface vif;
class my_configuration;
...
endclass
endpackage



module TB_top;

my_interface ifinst();

initial begin
        my_config_pkg::vif = ifinst;
        run_test("my_test");
end
endmodule