如何在verilog中编写指数函数?

如何在verilog中编写指数函数?,verilog,xilinx,hdl,exponential,Verilog,Xilinx,Hdl,Exponential,我是初学者,我必须完成一个项目,该项目使用分段插值法计算指数。乘法: assign res = a * b; 指数: assign res = a ** b; 如果它们是变量,不是,如果它们是常量,那么yesalso取决于目标的类型

我是初学者,我必须完成一个项目,该项目使用分段插值法计算指数。

乘法:

assign res = a * b;
指数:

assign res = a ** b;

如果它们是变量,不是,如果它们是常量,那么yesalso取决于目标的类型