verilog中eda-playder.com中的时钟

verilog中eda-playder.com中的时钟,verilog,clock,eda,Verilog,Clock,Eda,当试图让时钟波形显示在EDA中时,我得到错误“执行中断或达到最大运行时间”。如何让波形显示 : 模块测试; 注册时钟; 首字母 开始 $dumpfile(“dump.vcd”); $dumpvars(1); clk=0; 结束 总是 开始 #1 clk没有$finish,因此sim卡无限期运行并被服务器终止。添加#100$finish;你的主测试程序会给你50个时钟的例子 模块测试; 注册时钟; 首字母 开始 $dumpfile(“dump.vcd”); $dumpvars(1); clk=0;

当试图让时钟波形显示在EDA中时,我得到错误“执行中断或达到最大运行时间”。如何让波形显示

:

模块测试;
注册时钟;
首字母
开始
$dumpfile(“dump.vcd”);
$dumpvars(1);
clk=0;
结束
总是
开始

#1 clk没有
$finish
,因此sim卡无限期运行并被服务器终止。添加#100$finish;你的主测试程序会给你50个时钟的例子

模块测试;
注册时钟;
首字母
开始
$dumpfile(“dump.vcd”);
$dumpvars(1);
clk=0;
#100美元完成//
module test;
  reg clk;

  initial 
    begin
      $dumpfile("dump.vcd");
      $dumpvars(1);
      clk=0;
    end

  always
    begin
      #1 clk<=~clk;
    end

endmodule
module test;
  reg clk;

  initial 
    begin
      $dumpfile("dump.vcd");
      $dumpvars(1);
      clk=0;
      #100 $finish; //<-- End simulation
    end

  always
    begin
      #1 clk<=~clk;
    end

endmodule