如何播放verilog模拟中的音频文件?

如何播放verilog模拟中的音频文件?,verilog,fpga,system-verilog,Verilog,Fpga,System Verilog,我的目标是拥有一个verilog模块,该模块带有一个输入(来自DE2板,如时钟或键),可以触发音频文件播放。如何使用Quartus和DE2板完成此任务? 只需从闪烁的LED开始 作为第二个,你可以尝试运行的灯 课程树开始使用FPGA制造噪音 现在,您可以开始从内存向扬声器发送样本 别忘了:先模拟,再合成 这根本不是一个好答案。他问如何做“树”一课,以防你们没注意到。

我的目标是拥有一个verilog模块,该模块带有一个输入(来自DE2板,如时钟或键),可以触发音频文件播放。如何使用Quartus和DE2板完成此任务?

  • 只需从闪烁的LED开始
  • 作为第二个,你可以尝试运行的灯
  • 课程树开始使用FPGA制造噪音
  • 现在,您可以开始从内存向扬声器发送样本

别忘了:先模拟,再合成

这根本不是一个好答案。他问如何做“树”一课,以防你们没注意到。